Вопросы с тегом «verilog»

Verilog - это язык описания аппаратных средств (HDL), используемый для моделирования электронных систем. Он чаще всего используется при разработке, проверке и реализации цифровых логических чипов. Пожалуйста, также пометьте [fpga], [asic] или [validation], если применимо. Ответы на многие вопросы Verilog являются целевыми.


2
Чем дизайн ASIC отличается от синтеза FPGA HDL?
У меня был некоторый опыт работы с наборами инструментов FPGA / HDL, такими как Xilinx ISE, Lattice Diamond и т. Д. Общий рабочий процесс - написание Verilog / VHDL, моделирование, тестирование, а затем программирование FPGA. Я слышал, как несколько человек говорили, что дизайн ASIC совсем другой. Какие наборы инструментов используются …
42 fpga  vhdl  verilog  software  asic 

9
Может ли дизайн ПЛИС быть в основном (или полностью) асинхронным?
У нас был очень короткий курс FPGA / Verilog в университете (5 лет назад), и мы всегда использовали часы везде. Теперь я снова начинаю с FPGA как хобби, и я не могу не задуматься об этих часах. Они абсолютно необходимы, или проект на основе ПЛИС может быть полностью асинхронным? Можно …
39 fpga  verilog 

7
Читаемые и обучающие реализации процессора в HDL
Можете ли вы порекомендовать читаемую и обучающую реализацию процессора в VHDL или Verilog? Желательно что-то хорошо документированное. PS Я знаю, что могу посмотреть opencores, но мне особенно интересны вещи, на которые люди действительно смотрели и находили интересные. PS2. Извините за плохие теги, но как новый пользователь я не могу создавать …

10
Бесплатная IDE для VHDL и Verilog [закрыто]
Закрыто. Этот вопрос не по теме . В настоящее время не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 5 лет назад . Я заинтересован в изучении VHDL и Verilog. Мне было интересно, есть ли бесплатная IDE для них?
29 vhdl  verilog  ide 

7
Как выучить HDL
У меня есть курс по цифровому дизайну в этом семестре, и я просто обожаю его. Теперь я знаю, что большая часть работы во встроенной системе и цифровом дизайне выполняется сначала на компьютерных симуляторах, а затем выполняется с использованием аппаратных средств. Поэтому мне было интересно, как мне учиться ЛПВП. У меня …
24 simulation  vhdl  verilog  hdl 

6
Методы разделения / синхронизации последовательного протокола
Поскольку асинхронная последовательная связь широко распространена среди электронных устройств даже сегодня, я считаю, что многие из нас время от времени сталкивались с таким вопросом. Рассмотрим электронное устройство Dи компьютер, PCсоединенные последовательной линией (RS-232 или аналогичные) и необходимые для непрерывного обмена информацией . Т.е. PCкаждый посылает командный кадр X msи Dотвечает …
24 serial  communication  protocol  brushless-dc-motor  hall-effect  hdd  scr  flipflop  state-machines  pic  c  uart  gps  arduino  gsm  microcontroller  can  resonance  memory  microprocessor  verilog  modelsim  transistors  relay  voltage-regulator  switch-mode-power-supply  resistance  bluetooth  emc  fcc  microcontroller  atmel  flash  microcontroller  pic  c  stm32  interrupts  freertos  oscilloscope  arduino  esp8266  pcb-assembly  microcontroller  uart  level  arduino  transistors  amplifier  audio  transistors  diodes  spice  ltspice  schmitt-trigger  voltage  digital-logic  microprocessor  clock-speed  overclocking  filter  passive-networks  arduino  mosfet  control  12v  switching  temperature  light  luminous-flux  photometry  circuit-analysis  integrated-circuit  memory  pwm  simulation  behavioral-source  usb  serial  rs232  converter  diy  energia  diodes  7segmentdisplay  keypad  pcb-design  schematics  fuses  fuse-holders  radio  transmitter  power-supply  voltage  multimeter  tools  control  servo  avr  adc  uc3  identification  wire  port  not-gate  dc-motor  microcontroller  c  spi  voltage-regulator  microcontroller  sensor  c  i2c  conversion  microcontroller  low-battery  arduino  resistors  voltage-divider  lipo  pic  microchip  gpio  remappable-pins  peripheral-pin-select  soldering  flux  cleaning  sampling  filter  noise  computers  interference  power-supply  switch-mode-power-supply  efficiency  lm78xx 

5
Почему предполагаемые защелки плохие?
Мой компилятор жалуется на предполагаемые защелки в моих комбинаторных циклах ( always @(*)в Verilog). Мне также сказали, что следует избегать предполагаемых защелок. Что именно не так с предполагаемыми защелками? Они, безусловно, облегчают написание комбинаторных циклов.
22 verilog  hdl  latch 

7
В чем разница между тестированием и проверкой?
В каждом учебнике, который я видел, много говорится о том, что тестирование и проверка - это две разные концепции. Тем не менее, ни один из них не дает четкого (или достаточно ясного для меня, наконец) различия. Чтобы обеспечить некоторый контекст, я заинтересован в проверке проектов цифрового оборудования с использованием языков …

3
Разница между блокирующим и неблокирующим назначением Verilog
Я читал эту страницу http://www.asic-world.com/verilog/verilog_one_day3.html, когда наткнулся на следующее: Обычно мы должны сбрасывать триггеры, поэтому каждый раз, когда часы переходят от 0 к 1 (позиция), мы проверяем, установлен ли сброс (синхронный сброс), затем мы продолжаем с нормальной логикой. Если мы посмотрим внимательнее, то увидим, что в случае комбинационной логики у …
15 verilog 

2
Что этот оператор называется «+:» в Verilog
Я прохожу тестовый случай Verilog и нашел заявление assign XYZ = PQR_AR[44*8 +: 64]; Что означает оператор "+:" Я пытался найти это в Google, но не получил никакого соответствующего ответа.
14 verilog 

4
Как работают транзисторы BJT в насыщенном состоянии?
Вот что я знаю о NPN BJT (биполярных переходных транзисторах): Ток базы-эмиттера увеличивается в HFE раз на коллекторе-эмиттере, так что Ice = Ibe * HFE Vbeэто напряжение между Base-Emitter и, как и любой диод, обычно составляет около 0,65 В. Я не помню о Vec, хотя. Если Vbeон ниже минимального порога, …

5
Что заставило бы меня выбрать Verilog или VHDL вместо схематического дизайна для CPLD или FPGA?
У меня нет абсолютно никакого опыта в программируемой логике, я использую в своих проектах в основном микроконтроллеры, но недавно мне нужно было работать с видео, и микроконтроллер слишком медленный для того, что мне было нужно, поэтому я начал играть с CPLD. Мне удалось получить хорошие результаты с помощью CPLD только …

1
Verilog: XOR все сигналы вектора вместе
Скажем, у меня есть вектор wire large_bus[63:0]шириной 64. Как я могу XOR отдельные сигналы вместе, не записывая их все: assign XOR_value = large_bus[0] ^ large_bus[1] ^ ... ^ large_bus[63] ? Я особенно заинтересован в том, чтобы делать это для векторов, где ширина указана как localparam.
13 verilog 

6
Какова мотивация в использовании Verilog или VHDL над C?
Я пришел из опыта программирования и не слишком много путался с аппаратными средствами или прошивками (самое большее, электроника и Arduino). Какова мотивация в использовании языков описания аппаратных средств (HDL), таких как Verilog и VHDL, по сравнению с языками программирования, такими как C или некоторая сборка? Является ли этот вопрос вопросом …

Используя наш сайт, вы подтверждаете, что прочитали и поняли нашу Политику в отношении файлов cookie и Политику конфиденциальности.
Licensed under cc by-sa 3.0 with attribution required.