Вопросы с тегом «vhdl»

VHDL (VHSIC (VHSIC) - язык описания аппаратного обеспечения для высокоскоростных интегральных схем) - это язык описания аппаратных средств, используемый в автоматизации электронного проектирования для описания и проектирования цифровых систем, таких как программируемые пользователем вентильные матрицы и интегральные схемы.


2
Чем дизайн ASIC отличается от синтеза FPGA HDL?
У меня был некоторый опыт работы с наборами инструментов FPGA / HDL, такими как Xilinx ISE, Lattice Diamond и т. Д. Общий рабочий процесс - написание Verilog / VHDL, моделирование, тестирование, а затем программирование FPGA. Я слышал, как несколько человек говорили, что дизайн ASIC совсем другой. Какие наборы инструментов используются …
42 fpga  vhdl  verilog  software  asic 

7
Читаемые и обучающие реализации процессора в HDL
Можете ли вы порекомендовать читаемую и обучающую реализацию процессора в VHDL или Verilog? Желательно что-то хорошо документированное. PS Я знаю, что могу посмотреть opencores, но мне особенно интересны вещи, на которые люди действительно смотрели и находили интересные. PS2. Извините за плохие теги, но как новый пользователь я не могу создавать …

10
Бесплатная IDE для VHDL и Verilog [закрыто]
Закрыто. Этот вопрос не по теме . В настоящее время не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 5 лет назад . Я заинтересован в изучении VHDL и Verilog. Мне было интересно, есть ли бесплатная IDE для них?
29 vhdl  verilog  ide 

6
VHDL: преобразование типа INTEGER в STD_LOGIC_VECTOR
Я построил счетчик mod-16, и результатом вывода является INTEGER (все примеры, которые я видел, использовали INTEGER). Я построил декодер с шестнадцатеричным-7-сегментным дисплеем, и его вход представляет собой STD_LOGIC_VECTOR (записал его так, потому что было легко отобразить таблицу истинности). Я хотел бы соединить выход счетчика со входом декодера, но я получаю …
28 vhdl 

17
Самый доступный комплект для разработки FPGA для изучения теории VHDL и FPGA?
Locked . Этот вопрос и его ответы заблокированы, потому что вопрос не по теме, но имеет историческое значение. В настоящее время он не принимает новые ответы или взаимодействия. Я ищу что-то, с чем я могу поиграть, но не потратить на это слишком много. Я не имею права на академическую скидку, …
27 fpga  vhdl 

4
VHDL: компонент против сущности
Мне интересно, в чем разница между компонентом и сущностью. Я хотел бы знать, в каких случаях лучше использовать компоненты вместо сущностей. Огромное спасибо.
25 vhdl  components 

11
Вопрос интервью VHDL - определение, можно ли разделить число на 5 без остатка
Я видел хороший вопрос для VHDL - собери систему, которая получает число и определяет, можно ли его разделить на 5 без остатка. Я пытался решить это с помощью конечного автомата (полагаю, они не хотят, чтобы вы использовали mod или rem ), и хотя у меня был первоначальный успех (числа, такие …

4
std_logic или std_ulogic?
Кажется, что мир решил, что std_logic(и std_logic_vector) - это способ представления битов в VHDL по умолчанию. Альтернатива будет std_ulogic, которая не решена. Это удивляет меня, потому что обычно вы не описываете шину , поэтому вам не нужны несколько драйверов и вам не нужно разрешать сигнал. Преимущество std_ulogicзаключается в том, что …
24 vhdl 

7
Как выучить HDL
У меня есть курс по цифровому дизайну в этом семестре, и я просто обожаю его. Теперь я знаю, что большая часть работы во встроенной системе и цифровом дизайне выполняется сначала на компьютерных симуляторах, а затем выполняется с использованием аппаратных средств. Поэтому мне было интересно, как мне учиться ЛПВП. У меня …
24 simulation  vhdl  verilog  hdl 

4
VHDL, который может повредить FPGA
Я где-то читал, что плохой VHDL-код может привести к повреждению FPGA. Можно ли даже испортить FPGA с кодом VHDL? Какие условия могут вызвать это и каковы сценарии наихудшего случая?
22 fpga  vhdl 

4
VHDL IDE для среды GNU / linux
Я должен изучить VHDL с 0, и я хотел бы иметь опцию, которая работает под ядром Linux вместо NT / Windows: какие-нибудь советы? Я также могу по достоинству оценить некоторые хорошие ссылки на хорошие ресурсы VHDL для начинающих, спасибо.
19 vhdl  ide 

1
Soft-CPU верификация
В настоящее время я занимаюсь разработкой простого процессора на VHDL с использованием Xilinx ISE и ISIM. Часть дизайна проходит замечательно хорошо, но я не могу найти способ последовательной проверки. Прямо сейчас у меня есть тестовый стенд VHDL, который я обновляю, чтобы проверить функцию, над которой я работаю в любой конкретный …
18 fpga  vhdl  cpu  test 


3
VHDL: целые числа для синтеза?
Я немного сбит с толку, если я должен использовать целые числа в VHDL для синтеза сигналов и портов и т. Д. Я использую std_logic в портах верхнего уровня, но внутренне я был с помощью варьировались целые повсюду. Однако я наткнулся на несколько ссылок на людей, говорящих, что вы должны использовать …
17 vhdl  synthesis 

Используя наш сайт, вы подтверждаете, что прочитали и поняли нашу Политику в отношении файлов cookie и Политику конфиденциальности.
Licensed under cc by-sa 3.0 with attribution required.