Бесплатная IDE для VHDL и Verilog [закрыто]


29

Я заинтересован в изучении VHDL и Verilog. Мне было интересно, есть ли бесплатная IDE для них?


2
Взгляните на этот вопрос: electronics.stackexchange.com/questions/22596/…
trondd

1
Бесплатно как в речи или бесплатно как в пиве?
Фотон

Ответы:


30

Во-первых, добро пожаловать в мир логического дизайна.

Во-вторых, вы должны понимать, что дизайн-поток (важное модное слово!) В VHDL / Verilog:

  1. подумайте о дизайне, который вы хотите реализовать, например, сумматоре
  2. реализовать дизайн в VHDL / Verilog
  3. реализовать тестовый стенд в VHDL / Verilog
  4. используйте тестовый стенд для имитации вашего дизайна (из шага 2)
  5. если это работает и симуляция прошла успешно, попробуйте синтезировать дизайн
  6. делать все остальные вещи, такие как карта, место и маршрут
  7. создать файл .bit
  8. используйте свой JTAG для программирования своей FPGA
  9. PROFIT! (с надеждой)

Итак, как вы можете видеть, это много шагов. для многих из них доступны инструменты с открытым исходным кодом. Только бесплатно было бы довольно скучно, поэтому я постараюсь показать вам, что есть на рынке.

  • для шага 1) наиболее важными являются Libre / openOffice Calc, бумага и карандаш, и если у вас большие автоматы, возможно, Qfsm
  • шаг 2) вам нужен хороший редактор, возьмите тот, который вам нравится, и все в порядке. Есть несколько специализированных для VHDL, лучше всего sigasi (вы должны погуглить этот, предотвращение спама). Для этой задачи вы уже можете использовать один из IDE крупных производителей, но поверьте мне, это НЕ то, что вы хотите
  • шаг 3) -> шаг 2
  • шаг 4) вот несколько возможностей: Xilinx ISim, (Altera) Modelsim, (Lattice) Aldec, ghdl в сочетании с GTKWave. Я думаю, что есть больше симуляторов, но этого должно быть достаточно для начала. Все эти инструменты являются только симуляторами, хотя они содержат полную среду разработки (кроме ghdl).
  • шаг 5) сделайте себе одолжение и используйте инструмент, предоставленный производителем вашей FPGA. если вы достаточно опытны, вы также можете попробовать Icarus Verilog .
  • шаг 6 и 7) нет другого шанса, кроме как использовать vendortools
  • шаг 8) так много инструментов, даже инструменты, предоставляемые поставщиками ПЛИС. Мне нравится использовать commandlinestuff, поэтому я продолжаю использовать некоторые малоизвестные программы, но вендоры тоже в порядке.

надеюсь я смогу помочь


1
Что именно не так с использованием редакторов, включенных в инструменты поставщика? Они кажутся простыми, но функциональными.
drxzcl

да, они очень простые, но, по крайней мере, один из linux от xilinx и altera даже не имеет простого редактирования блоков. это очень расстраивает, если вы хотите закомментировать блоки, так как vhdl не имеет команд блоков
milch

1
Я на версии для Windows, и есть опция «блок комментариев» в контекстном меню. Для других операций по редактированию блоков вы по своему усмотрению: P
drxzcl

6

Любая программная IDE или текстовый редактор могут фактически использоваться с языками описания аппаратных средств, и любой приличный должен иметь возможность запускать цепочку инструментов компиляции (нацеливания или моделирования). В результате, реальный вопрос для достижения чего-либо - это «какие бесплатные компиляторы HDL доступны» - с ответами, такими как Icarus Verliog, GHDL и т. Д. Сопоставьте их с emacs или с чем угодно, и вы готовы идти вперед

Однако, когда многие люди спрашивают «IDE», они имеют в виду что-то хитрое, готовое к работе, часто с некоторыми контекстными подсказками / помощью. Общий ответ на это - ограниченная «веб-версия» внутренних наборов инструментов, предлагаемых крупными компаниями-производителями ПЛИС, такими как Xilinx (ISE) или Altera (Quartus). Вам не нужно фактически иметь какое-либо оборудование соответствующей компании для компиляции проектов или играть с какой-либо (обычно с ограничением по размеру или по времени) лицензией на имитатор, который они включают. Тем не менее, $ 50-150, чтобы получить базовую плату ПЛИС, может сделать опыт намного более «реальным» и подвергнуть вас иногда удивительным различиям между тем, что происходит в симуляторе и в реальной схеме (обычно в результате вещей, которые у вас есть). пренебрег, чтобы полностью указать,


5

Лучший вариант: сиагси . Либо автономный, либо подключаемый модуль к Eclipse (они будут очень похожи). Бесплатная версия не имеет функций рефакторинга кода и тому подобного, но скорее сводится к "VHDL IDE" - именно то, что вам нужно.


4

В настоящее время я использую бесплатную среду разработки от Xilinx. Вы можете скачать его здесь (если вы не живете в Северной Корее и т. Д.): Http://www.xilinx.com/support/download/index.htm

В настоящее время он называется «ISE Design Suite», но с годами Xilinx переименовал его. Хотя он бесплатный, его нельзя использовать на действительно больших или очень современных ПЛИС Xilinx. Я использую его прямо сейчас на дизайне Spartan6 LX45, плате Digilent Atlys (которая в настоящее время стоит 200 долларов для людей в академических кругах, 349 долларов для тех, кто находится за ее пределами): http://www.digilentinc.com/Products/Catalog.cfm?NavPath = 2,400 & Кошка = 10 & FPGA

Другой основной поставщик FPGA - Altera. У них также есть тестовые доски и бесплатная IDE под названием «Quartus»: http://www.altera.com/products/software/sfw-index.jsp


3

С обычными IDE вы застряли на том, что они вам предлагают. Но с Emacs 24 вы можете настроить его по своему желанию! Я использую его с режимами прелюдии и подсветки . Посмотри, как мило!

введите описание изображения здесь

Emacs не совсем IDE, но почему бы не сделать его одним?

  • Контроль версий
  • Горячие клавиши для запуска внешнего компилятора, инструмента lint, симулятора, создания файла и т. Д.
  • Можно добавить свертывание кода
  • Горячие клавиши для вставки общих блоков кода
  • Автоматическое комментирование
  • Вы, вероятно, уже есть это!

3

Вот пара бесплатных IDE:

SystemVerilog, Verilog, VHDL и другие HDL

  • EDA Playground - это IDE на основе веб-браузера, который предлагает редактор с подсветкой синтаксиса и выбор симуляторов. Поскольку он запускается из веб-браузера, устанавливать его нечего. Это хорошо для маленьких прототипов, но не для больших проектов.

Система Верилог и Верилог

  • SVEditor - плагин Eclipse. Вы должны предоставить свой собственный симулятор. Кроме того, он индексирует 1 файл за раз, что является более ограничительным, чем допускают многие коммерческие симуляторы. Рекомендуем иметь один файл верхнего уровня для индексации.

1

На самом деле нет полной IDE, доступной для разработки RTL.

Лучше всего начать с emacs или vi с плагином синтаксиса vhdl или verilog и переназначить несколько функциональных клавиш для компиляции, запуска и выполнения некоторых базовых функций контроля версий. Голое завершение кода встроено в редакторы, но они на самом деле не знают VHDL / Verilog.


1

Так как они еще не были упомянуты здесь:

  • zamiaCAD , который также является открытым исходным кодом :)
  • ActiveHDL Student Edition , который, к сожалению, требует статуса студента ..


0

Недавно я нашел довольно хороший редактор VHDL / Verilog ( http://www.vide-software.at ), который является плагином для Microsoft Visual Studio. Если вы студент, это бесплатно. В противном случае лицензия стоит всего около 30 евро.

Если вы знаете и любите Visual Studio, вам понравится этот плагин! Он также довольно сложен, так как поддерживает переименование, поиск ссылок, определение перехода, завершение кода и т. Д. Большинство (бесплатных) редакторов, которые я пробовал ранее, не имели этих возможностей.

Используя наш сайт, вы подтверждаете, что прочитали и поняли нашу Политику в отношении файлов cookie и Политику конфиденциальности.
Licensed under cc by-sa 3.0 with attribution required.