Вопросы с тегом «vhdl»

VHDL (VHSIC (VHSIC) - язык описания аппаратного обеспечения для высокоскоростных интегральных схем) - это язык описания аппаратных средств, используемый в автоматизации электронного проектирования для описания и проектирования цифровых систем, таких как программируемые пользователем вентильные матрицы и интегральные схемы.

8
Проект для изучения VHDL
Я студент EE и могу писать [по крайней мере простые] программы на большем количестве языков, чем у меня есть пальцы. Я только начал изучать VHDL, и мне было интересно, каким будет хороший проект, чтобы действительно узнать язык и соответствующие инструменты? У меня возникают проблемы с его созданием, потому что для …
16 fpga  xilinx  vhdl 

7
В чем разница между тестированием и проверкой?
В каждом учебнике, который я видел, много говорится о том, что тестирование и проверка - это две разные концепции. Тем не менее, ни один из них не дает четкого (или достаточно ясного для меня, наконец) различия. Чтобы обеспечить некоторый контекст, я заинтересован в проверке проектов цифрового оборудования с использованием языков …

3
VHDL: архитектура именования и интерпретации
Примечание: я использую ISE Xilinx и у меня есть плата ПЛИС для работы (с переключателями, лампами и т. Д.), И я до сих пор собирал несколько простых проектов. В то же время я читаю несколько учебных пособий, чтобы заложить основу для того, что я делаю. Я видел различные объекты и …

4
Как работают транзисторы BJT в насыщенном состоянии?
Вот что я знаю о NPN BJT (биполярных переходных транзисторах): Ток базы-эмиттера увеличивается в HFE раз на коллекторе-эмиттере, так что Ice = Ibe * HFE Vbeэто напряжение между Base-Emitter и, как и любой диод, обычно составляет около 0,65 В. Я не помню о Vec, хотя. Если Vbeон ниже минимального порога, …

5
Что заставило бы меня выбрать Verilog или VHDL вместо схематического дизайна для CPLD или FPGA?
У меня нет абсолютно никакого опыта в программируемой логике, я использую в своих проектах в основном микроконтроллеры, но недавно мне нужно было работать с видео, и микроконтроллер слишком медленный для того, что мне было нужно, поэтому я начал играть с CPLD. Мне удалось получить хорошие результаты с помощью CPLD только …


4
Дизайн прошивки ПЛИС: Насколько большой слишком большой?
У меня есть особенно большое преобразование обработки сигналов, которое нужно перенести из matlab в VHDL. Это определенно требует некоторого разделения ресурсов. Немного расчета дал мне следующее: 512 ффтов по 64 очка 41210 операций многократного добавления Учитывая, что самая большая FPGA Virtex 6 имеет ~ 2000 блоков DSP48E, я знаю, что …
12 fpga  vhdl  xilinx 

7
Дешевая плата разработки FPGA [закрыта]
Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 4 года назад . Я хочу начать с FPGA, но никогда раньше не работал с ним. Я хочу дешевый комплект, но я …
12 fpga  vhdl  jtag 

6
книга рекомендаций по FPGA [закрыто]
Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 2 года назад . Какие названия книг вы бы порекомендовали начать с FPGA и VHDL? редактировать я заметил , что некоторые из …
12 fpga  books  vhdl 

1
Как отладить красные сигналы в ModelSIM?
Я должен спроектировать конечный автомат, используя только вентили NAND для комбинаторной части и D триггеры для последовательной логики. Все должно работать на часах 1 ГГц / 53. Теперь, прежде чем вы нападаете на меня со словами «мы не сделаем вашу домашнюю работу за вас», позвольте мне сказать вам, что я …

2
Как я могу указать сигналы «пофиг» в VHDL?
На курсах «Логическое проектирование» мы все узнали, что можно минимизировать логическую функцию, например, используя карту Карно или алгоритм Куайна – МакКласки . Мы также узнали, что значения «Не волнует» увеличивают потенциал минимизации. Например возьмите файл реестра. write_addressИ write_dataсигналы на самом деле не имеет значения , когда write_enableсигнал '0'. Таким образом, …

3
Как определить области дизайна ПЛИС, которые используют больше всего ресурсов и областей?
Я работаю над большим дизайном ПЛИС, и я очень близок к пределам ресурсов ПЛИС, которую я сейчас использую, Xilinx LX16 в пакете CSG225. Дизайн также почти завершен, однако на данный момент он больше не будет соответствовать FPGA. Я могу отключить детали, чтобы привести его в соответствие, однако мне нужно уменьшить …

2
Разница между If-else и оператором Case в VHDL
Я хочу понять, как различные конструкции в коде VHDL синтезируются в RTL. Может ли кто-нибудь сказать мне разницу между конструкциями If-Else и конструкциями Case для процесса в VHDL с точки зрения того, как инструмент выводит код в схему RTL? Рассмотрим случай множественного вложенного if-else и смешивания операторов case с конструкцией …
11 vhdl  code-design  rtl 

12
Вы используете VHDL в настоящее время?
Я студент электротехники, и я изучаю язык описания оборудования, известный как VHDL. Я искал его в Google, искал IDE (я на Mac), но этот язык кажется довольно мертвым. Итак, вот мой вопрос: в моей будущей работе в качестве инженера-электрика VHDL будет полезен для меня? Вы используете это? ОБНОВЛЕНИЕ: Спасибо всем …
11 vhdl 

4
Когда лучше использовать представления VECTOR против INTEGER?
В ветке комментариев об ответе на этот вопрос: Неправильные выводы в сущности VHDL было указано: «С целыми числами у вас нет контроля или доступа к внутреннему логическому представлению в FPGA, в то время как SLV позволяет вам делать такие трюки, как эффективное использование цепи переноса». Итак, при каких обстоятельствах вы …
11 fpga  vhdl 

Используя наш сайт, вы подтверждаете, что прочитали и поняли нашу Политику в отношении файлов cookie и Политику конфиденциальности.
Licensed under cc by-sa 3.0 with attribution required.