Вопросы с тегом «fpga»

Полевой программируемый массив логических элементов (FPGA) - это логическая микросхема, которая настраивается заказчиком после изготовления и, следовательно, «программируется на месте».

3
FPGA, первые шаги
Ну, это продолжение моего вопроса о ПЛИС здесь . Наконец, я выбрал Digilent Atlys со FPGA Spartan 6, у меня нет опыта работы с FPGA, хотя я проделал определенную работу с микроконтроллерами. Я провел последние несколько дней, читая таблицы данных FPGA, и я думаю, что было бы неплохо начать с …
11 fpga  xilinx  spartan 

4
FPGA: считать вверх или вниз?
Я учусь использовать FPGA (плата разработки Papilio, на которой установлен xilinx spartan3e, использующий vhdl). Мне нужно разделить входящий импульс на (жестко закодированное) число. Я вижу 3 варианта - примерно, как псевдокод (на примере 10 отсчетов): Инициализируйте до 0, при увеличении входного фронта на 1, сравните с 10; если они равны, …
11 fpga  vhdl  xilinx  papilio 

2
Совместное использование генератора между двумя микросхемами
У меня есть микроконтроллер и FPGA на одной плате. Если они оба будут работать с одинаковой тактовой частотой, могу ли я просто использовать один генератор для синхронизации их обоих? Кажется, здесь есть кое-что, на что я должен обратить внимание, но я не могу сразу думать о каких-либо проблемах с этим, …

2
Что такое «полузащита» в ПЛИС?
В статье о радиационно-жестких ПЛИС я наткнулся на это предложение: «Другая проблема, связанная с устройствами Virtex, заключается в том, что в этих устройствах иногда используются половинные защелки. Они используются для внутренних констант, поскольку это более эффективно, чем использование логики». Я никогда не слышал о примитиве устройства FPGA, называемом «полузажимом». Насколько …
10 fpga  vhdl  xilinx  radiation 

2
В чем разница между CPLD и FPGA? [закрыто]
Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 4 года назад . В чем разница между CPLD и FPGA?

5
VHDL: Использование оператора '*' при реализации множителей в дизайне
Современные FPGA имеют встроенные блоки DSP, последние FPGA даже имеют встроенные модули с плавающей запятой, соответствующие IEEE-754. Можно создать объект / модуль DSP с помощью графического интерфейса после выбора необходимых параметров в нем, а затем создать его экземпляр в проекте. Когда нам нужно сделать такой микроменеджмент в проекте создания реальных …
10 fpga  vhdl  dsp 

1
ПЛИС ссылка на внешнюю память
Я пытаюсь использовать сотовую память на плате разработки Nexys 4 FPGA . Я использую Xilinx Vivado и хотел бы, чтобы программный процессор Microblaze мог выполнять чтение и запись. Пока что я создал процессор в блочной конструкции. После долгих поисков в интернете я в итоге нашел контроллер внешней памяти или EMC, …
10 fpga  memory  ram 

3
синхронизация процесса на ПЛИС
Я новичок в fpgas, и есть некоторые тонкости синхронизации, которые я не уверен, что понимаю: если все мои синхронные процессы запускаются на одном и том же фронте, то это означает, что мои входные данные «фиксируются» на одном восходящем фронте, и мой выходы меняются на .. тот же край? следующий передний …

1
VHDL трубопровод MD5
Я пытаюсь реализовать трехступенчатый конвейер MD5 по этой ссылке . В частности, алгоритмы на стр. 31. Существует также другой документ, который описывает пересылку данных. Это сделано в FPGA (Terasic DE2-115). В этом проекте нет схем, только код VHDL. library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity md5core is port ( CLOCK_50 …
10 fpga  vhdl 


4
Используя оба края часов
Я программирую Altera Cyclone IV, используя Verilog и Quartus II. В моем проекте я хотел бы использовать оба края часов, чтобы можно было делить часы по нечетному коэффициенту с коэффициентом заполнения 50%. Вот фрагмент моего кода: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge reset_i) begin if(reset_i) begin fixed_clock <= …

3
Что такое перекос часов и почему он может быть отрицательным?
Мой HDL-компилятор (Quartus II) генерирует временные отчеты. В нем узлы имеют столбец «перекоса часов». Единственное определение перекоса часов, которое я нашел, находится в документации TimeQuest (см. Стр. 7-24): Чтобы вручную указать неопределенность часов или наклон для передач по часам, используйте set_clock_uncertaintyкоманду. Итак, если асимметрия - это «неопределенность», почему некоторые из …

2
Как использовать сломанный датчик CCD со сканера?
Я получил старый сканер без адаптера питания. Я подключил его к совместимому адаптеру, но, очевидно, этот конкретный сканер очень сильно привязан к источнику питания (хотя предполагается, что он имеет постоянное напряжение 12 В постоянного тока). В Интернете было много сообщений о том, что он не работает, пока они не использовали …
10 arduino  fpga  camera  ccd 

1
Как создать клон Ambilight на базе FPGA?
Краткий обзор : Ambilight - это система на некоторых телевизорах Philips, которая анализирует информацию о цвете на экране, а затем устанавливает несколько светодиодов на задней панели дисплея, чтобы проецировать цвет экрана на стену. Это довольно изящный эффект. Сейчас есть клоны этой системы, которые используют ПК для обработки видео и управления …
10 fpga  hdmi 

3
Что происходит, когда FPGA включен и оставлен ненастроенным?
Я пытаюсь получить общее представление о том, что произойдет, если вы оставите ПЛИС незапрограммированным на длительный период времени. Предположим, у вас есть ПЛИС, и вы оставляете ее незапрограммированной в течение длительного периода времени (от нескольких минут до часов после включения), то есть без битового потока на нем, это плохо для …

Используя наш сайт, вы подтверждаете, что прочитали и поняли нашу Политику в отношении файлов cookie и Политику конфиденциальности.
Licensed under cc by-sa 3.0 with attribution required.