Вопросы с тегом «intel-fpga»

4
Используя оба края часов
Я программирую Altera Cyclone IV, используя Verilog и Quartus II. В моем проекте я хотел бы использовать оба края часов, чтобы можно было делить часы по нечетному коэффициенту с коэффициентом заполнения 50%. Вот фрагмент моего кода: always @(posedge low_jitter_clock_i or negedge low_jitter_clock_i or posedge reset_i) begin if(reset_i) begin fixed_clock <= …

3
Что такое перекос часов и почему он может быть отрицательным?
Мой HDL-компилятор (Quartus II) генерирует временные отчеты. В нем узлы имеют столбец «перекоса часов». Единственное определение перекоса часов, которое я нашел, находится в документации TimeQuest (см. Стр. 7-24): Чтобы вручную указать неопределенность часов или наклон для передач по часам, используйте set_clock_uncertaintyкоманду. Итак, если асимметрия - это «неопределенность», почему некоторые из …
Используя наш сайт, вы подтверждаете, что прочитали и поняли нашу Политику в отношении файлов cookie и Политику конфиденциальности.
Licensed under cc by-sa 3.0 with attribution required.