Вопросы с тегом «fpga»

Полевой программируемый массив логических элементов (FPGA) - это логическая микросхема, которая настраивается заказчиком после изготовления и, следовательно, «программируется на месте».

2
Число в квадратных скобках на схеме ПЛИС
Я изучаю схемы платы разработки FPGA. Я заметил, что многие контакты имеют число в квадратных скобках. Я прилагаю скриншот части схемы, где эти цифры обведены зеленым. Кроме того, справа от зеленого круга есть один штифт с [2,5] перед названием. Я хотел бы спросить, если вы знаете, что они имеют в …
12 fpga  schematics 

1
Что такое мягкие, твердые и жесткие IP-ядра? [закрыто]
Закрыто . Этот вопрос должен быть более сфокусированным . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он был сосредоточен только на одной проблеме, отредактировав этот пост . Закрыто 2 года назад . Насколько я понимаю, ядра интеллектуальной собственности (IP) состоят в том, что …

2
Каковы недостатки использования комплектов разработки FPGA в качестве «конечного продукта»?
Я понимаю, что серьезные фирмы HW могут изготавливать свои собственные платы, но каковы недостатки использования платы разработки «в производстве», то есть размещения карты PCIe на сервере и выполнения вычислений на ней?
12 fpga  development 

4
Дизайн прошивки ПЛИС: Насколько большой слишком большой?
У меня есть особенно большое преобразование обработки сигналов, которое нужно перенести из matlab в VHDL. Это определенно требует некоторого разделения ресурсов. Немного расчета дал мне следующее: 512 ффтов по 64 очка 41210 операций многократного добавления Учитывая, что самая большая FPGA Virtex 6 имеет ~ 2000 блоков DSP48E, я знаю, что …
12 fpga  vhdl  xilinx 

7
Дешевая плата разработки FPGA [закрыта]
Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 4 года назад . Я хочу начать с FPGA, но никогда раньше не работал с ним. Я хочу дешевый комплект, но я …
12 fpga  vhdl  jtag 

6
книга рекомендаций по FPGA [закрыто]
Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто 2 года назад . Какие названия книг вы бы порекомендовали начать с FPGA и VHDL? редактировать я заметил , что некоторые из …
12 fpga  books  vhdl 

5
Является ли ПЛИС жизнеспособной для такого проекта?
В настоящее время я работаю над Super OSD - проектом на экране. http://code.google.com/p/super-osd содержит все подробности. На данный момент я использую MCU dsPIC для выполнения этой работы. Это очень мощный DSP (40 MIPS при 80 МГц, трехканальные одноцикловые операции и блок MAC), и, что немаловажно, он поставляется в DIP-пакете (потому …


3
Как определить области дизайна ПЛИС, которые используют больше всего ресурсов и областей?
Я работаю над большим дизайном ПЛИС, и я очень близок к пределам ресурсов ПЛИС, которую я сейчас использую, Xilinx LX16 в пакете CSG225. Дизайн также почти завершен, однако на данный момент он больше не будет соответствовать FPGA. Я могу отключить детали, чтобы привести его в соответствие, однако мне нужно уменьшить …

3
Экспортные ограничения на компоненты, такие как ПЛИС
Я рассматриваю вопрос об обновлении ПЛИС на моем продукте с небольших Spartan3A-200 до Spartan6 с низким и средним уровнем. Spartan6 на самом деле дешевле, и я только что перерос 200-е. Похоже, было бы ошибкой проектировать другой Spartan3A на данный момент. Мой (связанный с месторождением) продукт может быть отправлен куда угодно. …
11 fpga 

4
Когда лучше использовать представления VECTOR против INTEGER?
В ветке комментариев об ответе на этот вопрос: Неправильные выводы в сущности VHDL было указано: «С целыми числами у вас нет контроля или доступа к внутреннему логическому представлению в FPGA, в то время как SLV позволяет вам делать такие трюки, как эффективное использование цепи переноса». Итак, при каких обстоятельствах вы …
11 fpga  vhdl 

2
Когда мне нужно использовать тактовый буфер IC?
Я проектирую схему и плату для управления 7 ЦАП от FPGA. (ЦАП AD9762 ) Можно ли управлять тактовыми входами на всех 7 ЦАП с помощью одного тактового выхода (с выходного контакта PLL) ПЛИС? Или это рецепт катастрофы? Это будут односторонние часы с макс. частота. 125 МГц. Или я должен использовать …

4
Предлагаемая плата ПЛИС [закрыта]
Закрыто. Этот вопрос не по теме . В настоящее время он не принимает ответы. Хотите улучшить этот вопрос? Обновите вопрос, чтобы он соответствовал теме обмена электротехническими пакетами. Закрыто в прошлом году . Это мой первый взгляд на ПЛИС, но я много знаю в области разработки программного обеспечения. Хотел бы приобрести …
11 fpga  picoblaze 

9
Новые проекты на FPGA?
Locked . Этот вопрос и его ответы заблокированы, потому что вопрос не по теме, но имеет историческое значение. В настоящее время он не принимает новые ответы или взаимодействия. У меня две недели до окончания моего первого курса по дизайну цифровой логики в колледже, и, очевидно, окончательного проекта не будет - …
11 fpga  design  vhdl  verilog 

6
Пример кода для FIR / IIR фильтров в VHDL?
Я пытаюсь начать работу с DSP на моей доске Spartan-3. Я сделал плату AC97 с чипом от старой материнской платы, и до сих пор я делал это для АЦП, умножения выборок на число <1 (уменьшение громкости) и затем на ЦАП. Теперь я хотел бы сделать некоторые базовые вещи DSP, такие …
11 fpga  vhdl  dsp  iir  fir 

Используя наш сайт, вы подтверждаете, что прочитали и поняли нашу Политику в отношении файлов cookie и Политику конфиденциальности.
Licensed under cc by-sa 3.0 with attribution required.